工作好きな情報系の雑記

Icarus VerilogとGTKWaveをインストールして使う

基本はこの記事の通りでいいんだけど、vcdファイルをダブルクリックしただけでは波形が表示されず、困ったのでメモ。

この記事にある通り、表示したい波形を選択して、Appendをクリックしないと表示されない。

### はじめにこの記事ではVerilog HDLというハードウェア記述言語の1つをIcarus VerilogというオープンソースのVerilog Simulatorを用いて実行し、GTKWaveで波形を観察する?ことを目標とし...
OSXでのVerilogHDL環境構築&4bitカウンターを作って波形を見る - Qiita - Qiita
前回 Icarusで出力したvcdファイルをGtkwaveを使用して、波形表示します。% gtkwave waves.vcd &表示したいmoduleから信号…
『GtkwaveでVerilogシミュレーション波形表示』 - でばぐめ -IT技術メモ-
モバイルバージョンを終了